Module type Collection.Set.IS


module type IS = sig .. end

include Collection.Set.S
val create : int -> t
val clear : t -> unit
val copy : t -> t
val add : t -> elt -> unit
val remove : t -> elt -> unit